
system verilog
sundingh
这个作者很懒,什么都没留下…
展开
专栏收录文章
- 默认排序
- 最新发布
- 最早发布
- 最多阅读
- 最少阅读
-
system verilog assertion
assertion 作用(1)检测一个条件有没有发生;(2)检测一系列动作有没有发生。可以直接介入硬件内部,bind到硬件。1.当拍assert,“ |-> ”。上升沿always @(posedge clock) example1: assert(A && !B);或者property example1; @(posedge clock) A...原创 2020-04-21 17:59:19 · 1187 阅读 · 0 评论 -
system verilog 编写function coverage
收集指定信号的范围、收集指定信号的特定值、收集指定多个信号的组合值或cross。example:signal_a_cov : coverpoint intf.signal_a iff(intf.signal_a_vld){ bins signal_a_cov[] = {[0:9]};}example:signal_a_cov : coverpoint intf.sig...原创 2020-04-14 17:21:43 · 1882 阅读 · 0 评论 -
system verilog 编写随机约束
1. 产生随机数(1)系统函数$urandom()或者std::urandom();(2)系统函数$urandom_range(a,b)或者std::urandom_range(a,b);2. constraint在class内部定义、编写。constrain para_cons{ cfg_cons0==128; cfg_cons1 inside {1,...原创 2020-04-14 16:41:28 · 1826 阅读 · 0 评论