
Verilog-手撕代码
马志高
IC验证工程师/SOC系统集成工程师
展开
-
8位加法器 -- verilog
Verilog实现八位加法器原创 2023-02-16 16:46:25 · 3809 阅读 · 3 评论 -
如何提高个人的verilog能力
如何提高verilog的能力,细节决定成败原创 2022-08-29 16:44:10 · 802 阅读 · 0 评论 -
半加器/全加器-verilog
半加器,全加器原创 2022-08-29 15:02:20 · 1780 阅读 · 1 评论 -
D触发器-verilog
D触发器原创 2022-08-02 16:31:11 · 7585 阅读 · 1 评论 -
二选一选择器-verilog
二选一选择器原创 2022-08-02 16:01:47 · 5827 阅读 · 0 评论 -
同步FIFO-Verilog
module#( parameter width = 16, parameter depth = 16)( input clk, input rst_n, input wr_en, input re_en, input wrddr, input reddr, input [width-1:0] din, output [width-1:0] dout, output full, output empty);reg [width-1:0]ram[depth:0];reg原创 2021-09-21 19:42:21 · 192 阅读 · 0 评论 -
除法器-verilog
module div_rill(input[31:0] a, input[31:0] b, output reg [31:0] yshang,output reg [31:0] yyushu); reg[31:0] tempa;reg[31:0] tempb;reg[63:0] temp_a;reg[63:0] temp_b; integer i; always @(a or b)begin tempa <= a; tempb <= b;end原创 2021-09-14 15:22:22 · 740 阅读 · 0 评论 -
乘法器-verilog
`timescale 1ns / 1ps//*************************************************************************// > 文件名: multiply.v// > 描述 :乘法器模块,低效率的迭代乘法算法,使用两个乘数绝对值参与运算// > 作者 : LOONGSON// > 日期 : 2016-04-14//*********************************原创 2021-09-13 15:01:26 · 595 阅读 · 1 评论 -
异步FIFO-Verilog
module async_fifo #( // FIFO参数定义 parameter data_width = 16,// FIFO宽度 parameter data_depth = 256,// FIFO深度 parameter address_width = 8 // 地址宽度,对于深度为2^n的FIFO,需要的读/写指针位宽为(n+1)位,多的一位作为折返标志位)(原创 2021-09-02 21:19:19 · 256 阅读 · 2 评论 -
同步FIFO-Verilog
module sfifo#(parameter DW = 8,AW = 4)//默认数据宽度8,FIFO深度16( input clk, input rst_n, input we, input re, input [DW-1:0] din, output reg [DW-1:0] dout, output原创 2021-09-02 21:17:33 · 211 阅读 · 1 评论 -
快时钟域到慢时钟域的展宽打拍-Verilog
//快时钟域到慢时钟域的展宽打拍module fast2slow_CDC( input clk1, input clk2, input rst, input pulse_clk1, output pulse_syn_clk2 ); reg pulse_wide_clk2; reg reg1_pulse_wide_clk2; reg reg1_pulse_wide_clk1; reg reg2_pulse_wide_clk1; //生成脉冲展宽信号 reg原创 2021-09-02 21:12:37 · 2166 阅读 · 1 评论 -
移位寄存器-Verilog
//五位循环右移module register_right( input clk, input [4:0] data_in, output reg [4:0] data_out ); always @ (posedge clk) begin data_out <= ({data_in[0],data_in[4:1]}); endendmodule原创 2021-09-02 21:08:30 · 2761 阅读 · 5 评论 -
边沿检测-Verilog
//上升沿,下降沿,双边沿检测module Detect( input clk, input rst_n, input data, output pos, output neg, output dou_edge ); reg data_0,data_1; always @ (posedge clk or negedge rst_n) begin if(!rst_n) begin data_0 <= 1'b0; data_1 <= 1'b原创 2021-09-02 21:03:14 · 240 阅读 · 0 评论 -
奇偶分频-verilog
//奇偶分频module fre_div( input clk, input rst_n, output div_clk ); reg div_clk1_odd; reg div_clk2_odd; reg div_clk3_even; reg [2:0]cnt; always @ (posedge clk or negedge rst_n) begin if(!rst_n) cnt <= 1'b0; else if (cnt==(原创 2021-09-02 20:59:42 · 274 阅读 · 1 评论 -
串转并-verilog
//四位串转并module serial2paraller( input clk, input rst_n, input data_in, output reg [3:0] data_out ); reg [3:0] data; always @ (posedge clk or negedge rst_n) begin if(!rst_n) data <= 4'b0; else da原创 2021-09-02 20:55:10 · 235 阅读 · 0 评论 -
并转串-Verilog
//五位并转串module paraller2serial( input clk, input rst_n, input [4:0] data_in, input en, output data_out ); reg [4:0] data; always @ (posedge clk or negedge rst_n) begin if(!rst_n) data <=5'd0; else if (en)原创 2021-09-02 20:50:10 · 1687 阅读 · 1 评论 -
FSM实现序列检测-Verilog
//FSM检测序列101module Detect_101( input clk, input rst_n, input data, output flag ); parameter S0=0,S1=1,S2=2,S3=3; reg [1:0] state; reg [1:0] next_state; always @ (posedge clk or negedge rst_n) begin if(!rst_n) state <= S0原创 2021-09-02 20:40:39 · 335 阅读 · 0 评论 -
移位寄存器实现序列检测-Verilog
//移位寄存器实现10010检测module Detect_10010( input clk, input rst_n, input data_in, output reg [4:0] data_out, output flag );always @ (posedge clk or negedge rst_n)begin if(!rst_n) data_out <= 5'd0; else data_out <= ({data_out[3:0],data_in原创 2021-09-02 14:35:56 · 1246 阅读 · 2 评论