library ieee;
use ieee.std_logic_1164.all, ieee.std_logic_unsigned.all;
entity choice is
generic(n : integer := 4);
port(enable : in std_logic_vector(n-1 downto 0);
input : in std_logic_vector(2 ** n - 1 downto 0);
output : out std_logic);
end choice;
architecture struct of choice is
begin
output <= input(CONV_INTEGER(enable));
end;