
FPGA
匿名匿名匿名11
这个作者很懒,什么都没留下…
展开
-
FPGA大牛博客汇总
FPGA大牛博客汇总(1) 昵称:yf869778412链接地址:https://www.cnblogs.com/chengqi521/p/8242183.html(2) 昵称:FPGADesigner...转载 2019-11-27 16:15:21 · 364 阅读 · 0 评论 -
VHDL
一、VHDL程序的基本结构library ieee;use ieee.std_logic_1164.all;entity test is port( d_in: in std_logic; d_out: out std_logic);end entity;architecture behave of test isbegin process begin xxxxx...原创 2019-11-26 18:56:46 · 744 阅读 · 0 评论 -
VHDL语法
Q := (others => '0')省略赋值操作符等效于给变量Q赋值"00000000"原创 2019-11-02 13:54:21 · 1143 阅读 · 0 评论