
计算机组成原理
文章平均质量分 50
种子选手
君のことが好きだが、なかなか伝えますせん
展开
专栏收录文章
- 默认排序
- 最新发布
- 最早发布
- 最多阅读
- 最少阅读
-
VHDL 实现一位全加器以及 Quartus II 初探
一. 实验说明使用软件:Quartus II 5.0 实验要求说明:设计一个一位全加器(full adder)1.引脚功能表 逻辑说明:XOR 为异或 ,AND 为 与, OR 为或。输入包括两个加数与一个进位信号;输出包括一个本位和与进位信号2. VHDL 实现use ieee.std_logic_1164.all;entity full_adder1 is p...原创 2018-03-17 13:15:00 · 25066 阅读 · 0 评论 -
计组实验一 - 8位可控的二进制补码加减法器
实验说明:1.使用 quartusII 9.0 完成8位可控的二进制补码加减法器 2.使用 VHDL 语言编写代码 3.学会模块化编程处理实验步骤一. 先实现一位全加器library ieee;use ieee.std_logic_1164.all;entity fa is port(a,b,ci : in std_logic; s,co :...原创 2018-04-07 19:56:53 · 16809 阅读 · 1 评论 -
QuartusII - can't open project 报错
做实验时,QuartusII 突然就打不开项目文件 xxx.bdf 。并发出了相关报错信息 cant't open project --Quartus ii settings File contains one or more errors 。可以查看报错日志Error: Error reading Quartus II Settings File Z:/CPU/cpu0.qsf, li...原创 2018-05-31 23:52:33 · 12526 阅读 · 0 评论