
verilog
文章平均质量分 69
一只迷茫的小狗
这个作者很懒,什么都没留下…
展开
-
verilog/systemverilog中的位序问题
verilog/systemverilog中的位序问题原创 2025-03-28 17:30:14 · 175 阅读 · 0 评论 -
Verilog中generate的用法
Verilog中generate的用法原创 2024-12-06 14:47:03 · 1030 阅读 · 0 评论 -
TCL脚本在QuestaSim和ModelSim中的应用
TCL脚本在QuestaSim和ModelSim中的应用原创 2024-12-06 10:35:56 · 925 阅读 · 0 评论 -
谈谈PCIE的地址映射
谈谈PCIE的地址映射原创 2024-12-03 11:33:31 · 651 阅读 · 0 评论 -
在Modelsim中独立调用Vivado的PCIE IP核仿真测试的方法
在Modelsim中独立调用Vivado的PCIE IP核仿真测试的方法原创 2024-12-02 09:30:59 · 944 阅读 · 0 评论 -
verilog中位宽表示法
verilog中位宽表示法原创 2024-11-25 10:41:59 · 591 阅读 · 0 评论 -
modelsim命令:add button
modelsim命令:add button原创 2024-10-30 08:57:10 · 684 阅读 · 0 评论 -
modelsim命令:add atv
modelsim命令:add atv原创 2024-10-29 22:58:42 · 237 阅读 · 0 评论 -
modelsim命令:abort
modelsim命令:abort原创 2024-10-29 22:52:47 · 251 阅读 · 0 评论 -
modelsim命令.main clear
modelsim命令.main clear原创 2024-10-29 22:45:55 · 236 阅读 · 0 评论 -
Verilog赋值冲突
Verilog赋值冲突原创 2024-10-28 22:57:56 · 134 阅读 · 0 评论 -
ModelSim® SE User‘s Manual Chapter 20 Finite State Machines
ModelSim® SE User's Manual Chapter 20 Finite State Machines原创 2024-10-27 14:51:19 · 822 阅读 · 0 评论 -
ModelSim® SE Command Reference Manual : find命令的用法
ModelSim® SE Command Reference Manual : find命令的用法原创 2024-06-25 13:54:47 · 749 阅读 · 0 评论 -
ModelSim® SE Command Reference Manual:find connections命令的使用
ModelSim® SE Command Reference Manual:find connections命令的使用原创 2024-06-25 10:23:14 · 248 阅读 · 0 评论 -
SDRAM控制器读写
SDRAM控制器读写原创 2024-05-18 20:57:33 · 513 阅读 · 0 评论 -
systemverilog/verilog文件操作
systemverilog/verilog文件操作原创 2024-01-20 16:39:42 · 4336 阅读 · 0 评论 -
Vivado 统计代码覆盖率
Vivado 统计代码覆盖率原创 2024-01-17 19:07:03 · 1565 阅读 · 0 评论 -
Modelsim查看ATV Window(ModelSim® SE GUI Reference Manual, v10.6d Chapter4.2 ATV Window)
Modelsim查看ATV Window(ModelSim® SE GUI Reference Manual, v10.6d Chapter4.2 ATV Window)原创 2023-12-25 13:55:25 · 430 阅读 · 0 评论 -
XPM_CDC_PULSE
XPM_CDC_PULSE原创 2023-12-23 11:11:10 · 1305 阅读 · 0 评论 -
XPM_CDC_SINGLE(UG974)
此宏将一个一位信号从源时钟域同步到目标时钟域。为了正确操作,输入数据必须由目标时钟采样两次或两次以上。您可以定义同步器中使用的寄存器级数。可选的输入寄存器可以用于在源时钟域中的输入被同步之前将其寄存。还可以启用仿真特性来生成消息,以报告宏的任何潜在滥用。原创 2023-12-23 10:46:30 · 2313 阅读 · 1 评论 -
在modelsim中查看断言
在modelsim中查看断言原创 2023-12-21 21:08:35 · 721 阅读 · 0 评论 -
SoC中跨时钟域的信号同步设计(单比特同步设计)
SoC中跨时钟域的信号同步设计(单比特同步设计)原创 2023-12-16 10:01:07 · 1163 阅读 · 0 评论 -
Verilog中generate的用法
Verilog中generate的用法原创 2023-12-05 14:51:59 · 2811 阅读 · 0 评论 -
SystemVerilog Assertions应用指南 第一章(1.28章节 内建的系统函数)
SystemVerilog Assertions应用指南 第一章(1.28章节 内建的系统函数)原创 2023-10-15 10:21:08 · 1058 阅读 · 0 评论 -
SystemVerilog Assertions应用指南 第一章(1.25章节 “first_match”运算符)
SystemVerilog Assertions应用指南 第一章(1.25章节 “first_match”运算符)原创 2023-10-14 19:38:15 · 854 阅读 · 0 评论 -
Modelsim查看波形窗口内断言(SVA)消息指示器
Modelsim查看波形窗口内消息指示器原创 2023-10-14 11:21:53 · 302 阅读 · 0 评论 -
SystemVerilog Assertions应用指南 第一章(1.23章节 “intersect”运算符)
SystemVerilog Assertions应用指南 第一章(1.23章节 “intersect”运算符)原创 2023-10-14 10:45:27 · 641 阅读 · 0 评论 -
VHDL和Verilog中数组定义、初始化、赋值方法
VHDL和Verilog中数组定义、初始化、赋值方法原创 2023-10-12 20:13:52 · 4079 阅读 · 0 评论 -
verilog语法之case casez casex
verilog语法之浅谈case casez casex原创 2023-09-04 19:30:50 · 749 阅读 · 0 评论 -
set_output_delay的本质
set_output_delay的本质原创 2023-08-16 21:19:06 · 2252 阅读 · 0 评论 -
SystemVerilog 第3章过程语句和子程序
SystemVerilog 第3章过程语句和子程序原创 2023-07-27 13:03:20 · 393 阅读 · 0 评论 -
strncmp()函数用法及其详解
strncmp()函数用法及其详解原创 2023-07-21 14:13:59 · 3188 阅读 · 0 评论 -
Source Insight如何新建工程
Source Insight如何新建工程原创 2023-07-21 13:59:33 · 1032 阅读 · 0 评论 -
SystemVerilog 第2章:数据类型
SystemVerilog 第2章:数据类型原创 2023-07-25 22:35:50 · 1413 阅读 · 0 评论 -
systemverilog中rand机制的 $urandom_range()函数
systemverilog中rand机制的 $urandom_range()函数原创 2023-07-16 19:59:05 · 1947 阅读 · 0 评论 -
SystemVerilog 教程第二章数据类型:队列
SystemVerilog 教程第二章数据类型:队列原创 2023-07-16 15:47:13 · 2654 阅读 · 0 评论 -
IC学习笔记:SystemVerilog队列及数组方法
IC学习笔记:SystemVerilog队列及数组方法原创 2023-07-16 15:46:10 · 7561 阅读 · 0 评论 -
外部存储器接口(EMIF)
外部存储器接口(EMIF)原创 2023-07-11 00:18:03 · 6420 阅读 · 1 评论 -
UVM学习笔记--寄存器模型 Register Model
UVM学习笔记--寄存器模型 Register Model原创 2023-07-10 22:56:33 · 2740 阅读 · 4 评论 -
基于SystemVerilog和基于verilog的验证平台有什么不同
基于SystemVerilog和基于verilog的验证平台有什么不同原创 2023-07-10 22:44:06 · 202 阅读 · 0 评论