Verdi/Coverage tool 学习 第1节(入门篇)

本文详细介绍了如何使用VCS进行覆盖率分析,包括Verdi-Coverage工具的概述、VCS的使用实例、覆盖率类型、覆盖率数据库的产生、编译和仿真选项,以及如何合并和查看覆盖率。重点讨论了Verdi在查看覆盖率方面的应用,如使用URG、Verdi和DVE。此外,还提到了在实际工程中如何合并多个覆盖率文件以提高效率。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

目录

1. Verdi-Coverage 工具概述  

2.  VCS使用实例

3.  VCS中的覆盖率分析

3.1  覆盖率类型

3.2  Coverage Database的产生

3.3  其他的vcs编译和仿真中的选项

3.4  有时需要Merge 多个Coverage 到一起

4.  查看Coverage的方法

4.1  使用URG 查看

4.2  使用verdi查看

4.3  使用DVE查看


1. Verdi-Coverage 工具概述  

VCS对应的waveform工具有DVE和Verdi, DVE因为是原生的,所以VCS对DVE非常友好。但DVE已经过时了,其对uvm等新feature支持的不好。Verdi是Debussy公司的产品,现在已被Synopsys收购并着力发展,说以Verdi是未来的潮流。所以此文的dump fsdb波形和查看coverage都用verdi。具体来说VCS两步是:

1. 编译verilog文件成为一个可执行的二进制文件命令为:vcs source_files

2. 运行该可执行文件:./simv

2.  VCS使用实例

此例子涉及task和$value$plusargs等verilog语法,忘记用法的话可以查阅资料再复习下。

具体vcs命令是:

vcs -sverilog -R -fsdb -ucli -do run_vcs.tcl -cm line+tgl+branch+assert -cm_name test1 +test_name=test1 tb_top.v

vcs -sverilog -R -fsdb -ucli -do run_vcs.tcl -cm line+tgl+branch+assert -cm_name test2 +test_name=test2 tb_top.v

顶层 tb_top.sv 文件内容如下:

module tb_top();
reg clk, rst, load;
wire [3:0] out;
always #5 clk=~clk;

reg [255:0] test_name;
initial
begin
    clk=1'b0; rst=1'b0; load=0;
    #30;
    $value$plusargs('test_name=%s',test_name);
    if(test_name=='test1')
        test1;
    else if(test_name=='test2')
        test2;
    #70;
    $finish;
end

task test1;
    rst=1'b1;
    #10 load=0;
endtask

task test2;
    rst=1'b1; load=1;
    #10 load=0;
endtask

counter u_counter(.reset(rst),
    .clk(clk),
    .load(load),
    .load_value(4'h8),
    .q(out));
endmodule

module counter(reset,clk,load,load_value,q);
input reset,clk;
input load;
input [3:0] load_value;
output[3:0] q;
reg[3:0]q;
reg[3:0]count;

always@(posedge clk)
    if(!reset) 
        q<=4'h0;
    else if(load)
        q<= load_value;
    else if(q==4'b1111)
        q<=4'b0;
    else
        q<=q+1;
endmodule

 文件 run_vcs.tcl内容如下:

run_vcs.tcl:
config timebase 1ns
scope tb_top
run 1ns
call \$fsdbDumpfile('counter.fsdb');
call \$fsdbDumpvars(0,tb_top);
call \$fsdbDumpSVA;
# Run to completion
run
quit

verdi -cov -covdir simv.vdb/ &

简单解释一下这里的选项:-sverilog是说支持sv语法;-R表示编译后立即执行;-fsdb表示dump fsdb文件 ;-ucli -do run_vcs.tcl表示要执行这个仿真tcl控制; -cm line+tgl+branch+assert表示 coverage覆盖line/toggle/branch/assert ;-cm_name  test1表示生成coverage的一个test的目录名称test1(仿真后会生成simv.vdb/snps/coverage/db/testdata/test1);+test_name 表示要传递给TB一个test_name 参数。这个例子运行后,产生simv.vdb/snps/coverage/db/testdata/test1 和simv.vdb/snps/coverage/db/testdata/test2 两个 test的coverage文件,用verdi打开是这样的界面:

VCS仿真和多个test用urg工具生成coverage文件verdi查看

3.  VCS中的覆盖率分析

3.1  覆盖率类型

VCS在仿真过程中,也可以收集Coverage Metric(覆盖率度量)。其中覆盖率类型有:

1)Code Coverage:包括line,condition, branch, toggle和FSM coverage。

2)Functional Coverage:包括covergroup,assert 。

3.2  Coverage Database的产生

包含所有的有关coverage的信息,默认放在simv.vdb文件夹下。db中的默认路径是,snps/coverage/db/testdata/line.verilog.data.xml(fsm/cond/tgl/branch等xml信息)。

3.2.1 在编译命令中

vcs [cover_options] [compile_options] source.v

[cover_options]:           -cm   line+cond+tgl+fsm+branch+assert

                                  -cm_dir  在compile options中改变simv.vdb文件夹的位置。

                                  -cm_name  指定testname。主要改变testname在db中的命名。

3.2.2  在仿真过程中

simv [cover_options] [run_options]

[cover_options]:           -cm line+cond+tgl+fsm+branch+assert

[run_options] :             指定 runtime options。

3.3  其他的vcs编译和仿真中的选项

  -cm_hier,在编过程中,指定收集coverage的scope。(格式可以包含正则表达式,语句可以+tree -tree -moduletree等)
  -cm_assert_hier,在编译过程中,只是指定不收集coverage的assert hier。其中hier的表示,+/- module,+/- tree,+/-assert
  -cm_count,在gui和urg report中,显示次数,比如toggle的次数。
  -cm_glitch period,不收集一定范围的glitch的coverage。simulation option
  -cm_start/stop,指定coverage收集的时间,simulation option coverage group相关的option;
  -covg_disable_cg,关闭所有的coverage group的收集urg生成report中的option:
  -dir,指定需要拿到的db的hier,
  -dbname,指定输出的merge db的hier
  -elfile,指定exclusive的file,这样更好计算coverage。
  -elfilelist 忽略中每一个.el文件。(Specifies a file containing a list of exclude files)
  -noreport,不输出最终的report,只是merge db
  -format text/both,指定report的输出格式
  -matric [line,cond,fsm,tgl,branch,assert]执行计算的coverage类型
  -parallel,并行merge
  -full64,以64bit的程序进行merge
  -plan,-userdata,-userdatafile,-hvp_no_score_missing,指定hvp相关的生成信息。

3.4  有时需要Merge 多个Coverage 到一起

实际工程中urg除了生成coverage报告,还经常要把几个仿真产生的vdb文件merge到一起,三个目的:

1. 实现比较高的coverage
2. 让verdi load coverage速度大大提高(我们几百个test下来,merge后load只要几分钟,而不merge需要半个到一个小时)
3. 不同level testbench跑出来的结果需要merge到一起(前公司用过把block的merge到system level)
   这个merge+生成coverage命令大体是这样的
   urg -full64 -metric line+tgl+cond+fsm+assert+branch -warn none -dbname .vdb -dir simv.vdb -elfile 
   注意:其中-dir之后的第一个vdb会被认为是base data,如果产生其他vdb的RTL与base不同,将不能被Merge进来
   verdi查看coverage命令:**verdi -cov -covdir .vdb &**

如下是某个工程中使用的生成coverage并merge若干vdb文件到vcs_sim_exe_total.vdb的命令:

urg -full64 -parallel -show ratios -show tests -dir /proj/vcs_sim_exe_merge.vdb /proj/vcs_sim_exe _bak.vdb -hier /proj/dut_hier -elfilelist /proj/elfilelist.el -plan /proj/vplan/DUT.hvp -dbname /proj/vcs_sim_exe_total.vdb -report /proj/rpt/4332 -log /proj/gen_cov_dut.log

 这里特别说明下这个选项: -hier /proj/dut_hier 

-hier表示只针对dut_hier中定义的(URG has an option, -hier, that accepts a subset of the controls that can be used in the compile-time -cm_hier file.)spec说不太常用。

4.  查看Coverage的方法

4.1  使用URG 查看

  • 使用urg处理后查看:urg处理,默认生成urgReport目录。
  • 具体命令: urg -full64  -dir  simv.vdb
  • urgReport目录下firefox浏览器查看tests.html

 4.2  使用verdi查看

verdi -cov -covdir simv.vdb   

847278-20161121195724909-1080973272.png

 4.3  使用DVE查看

dve -covdir simv.vdb

847278-20161121195733706-21158846.png

感谢大佬的分享!!!

coverage report - 纟彖氵戋 - 博客园 (cnblogs.com)

Chronologic VCS (TM) Version V-2023.12-SP1_Full64 -- Wed Jun 11 18:48:33 2025 Copyright (c) 1991 - 2024 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use, reproduction, or distribution of this software is strictly prohibited. Licensed Products communicate with Synopsys servers for the purpose of providing software updates, detecting software piracy and verifying that customers are using Licensed Products in conformity with the applicable License Key for such Licensed Products. Synopsys will use information gathered in connection with this process to deliver software updates and pursue software pirates and infringers. Inclusivity & Diversity - Visit SolvNetPlus to read the "Synopsys Statement on Inclusivity and Diversity" (Refer to article 000036315 at https://solvnetplus.synopsys.com) Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 1 The function/task '$fsdbDumpvars' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpvars vs call=novas_call_phaseout_fsdbDumpvars This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 2 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 2 The function/task '$fsdbDumpvarsES' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpvarsES vs call=novas_call_phaseout_fsdbDumpvarsES This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 3 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 3 The function/task '$fsdbDumpMDA' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMDA vs call=novas_call_phaseout_fsdbDumpMDA This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 4 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 4 The function/task '$fsdbDumpSVA' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpSVA vs call=novas_call_phaseout_fsdbDumpSVA This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 5 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 5 The function/task '$fsdbDumpvarsByFile' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpvarsByFile vs call=novas_call_phaseout_fsdbDumpvarsByFile This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 6 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 6 The function/task '$fsdbSuppress' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbSuppress vs call=novas_call_phaseout_fsdbSuppress This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 7 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 7 The function/task '$fsdbDumpon' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpon vs call=novas_call_phaseout_fsdbDumpon This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 8 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 8 The function/task '$fsdbDumpoff' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpoff vs call=novas_call_phaseout_fsdbDumpoff This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 9 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 9 The function/task '$fsdbSwitchDumpfile' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbSwitchDumpfile vs call=novas_call_phaseout_fsdbSwitchDumpfile This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 10 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 10 The function/task '$fsdbDumpfile' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpfile vs call=novas_call_phaseout_fsdbDumpfile This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 11 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 11 The function/task '$fsdbAutoSwitchDumpfile' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbAutoSwitchDumpfile vs call=novas_call_phaseout_fsdbAutoSwitchDumpfile This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 12 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 12 The function/task '$fsdbDumpFinish' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpFinish vs call=novas_call_phaseout_fsdbDumpFinish This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 13 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 13 The function/task '$fsdbDumpflush' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpflush vs call=novas_call_phaseout_fsdbDumpflush This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 14 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 14 The function/task '$fsdbLog' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbLog vs call=novas_call_phaseout_fsdbLog This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 15 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 15 The function/task '$fsdbAddRuntimeSignal' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbAddRuntimeSignal vs call=novas_call_phaseout_fsdbAddRuntimeSignal This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 16 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 16 The function/task '$fsdbDumpSC' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpSC vs call=novas_call_phaseout_fsdbDumpSC This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 17 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 17 The function/task '$fsdbDumpvarsToFile' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpvarsToFile vs call=novas_call_phaseout_fsdbDumpvarsToFile This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 18 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 18 The function/task '$sps_create_transaction_stream' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_create_transaction_stream vs call=novas_call_phaseout_sps_create_transaction_stream This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 19 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 19 The function/task '$sps_begin_transaction' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_begin_transaction vs call=novas_call_phaseout_sps_begin_transaction This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 20 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 20 The function/task '$sps_end_transaction' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_end_transaction vs call=novas_call_phaseout_sps_end_transaction This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 21 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 21 The function/task '$sps_free_transaction' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_free_transaction vs call=novas_call_phaseout_sps_free_transaction This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 22 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 22 The function/task '$sps_add_attribute' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_add_attribute vs call=novas_call_phaseout_sps_add_attribute This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 23 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 23 The function/task '$sps_update_label' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_update_label vs call=novas_call_phaseout_sps_update_label This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 24 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 24 The function/task '$sps_add_relation' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_add_relation vs call=novas_call_phaseout_sps_add_relation This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 25 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 25 The function/task '$fsdbWhatif' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbWhatif vs call=novas_call_phaseout_fsdbWhatif This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 26 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 26 The function/task '$paa_init' has mismatching multiple definitions in PLI table(s): call=novas_call_paa_init vs call=novas_call_phaseout_paa_init This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 27 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 27 The function/task '$paa_sync' has mismatching multiple definitions in PLI table(s): call=novas_call_paa_sync vs call=novas_call_phaseout_paa_sync This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 28 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 28 The function/task '$fsdbDumpClassMethod' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpClassMethod vs call=novas_call_phaseout_fsdbDumpClassMethod This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 29 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 29 The function/task '$fsdbSuppressClassMethod' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbSuppressClassMethod vs call=novas_call_phaseout_fsdbSuppressClassMethod This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 30 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 30 The function/task '$fsdbSuppressClassProp' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbSuppressClassProp vs call=novas_call_phaseout_fsdbSuppressClassProp This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 31 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 31 The function/task '$fsdbDumpMDAByFile' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMDAByFile vs call=novas_call_phaseout_fsdbDumpMDAByFile This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 32 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 33 The function/task '$fsdbTrans_create_stream_begin' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_create_stream_begin vs call=novas_call_phaseout_fsdbEvent_create_stream_begin This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 34 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 34 The function/task '$fsdbTrans_define_attribute' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_add_stream_attribute vs call=novas_call_phaseout_fsdbEvent_add_stream_attribute This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 35 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 35 The function/task '$fsdbTrans_create_stream_end' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_create_stream_end vs call=novas_call_phaseout_fsdbEvent_create_stream_end This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 36 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 36 The function/task '$fsdbTrans_begin' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_begin vs call=novas_call_phaseout_fsdbEvent_begin This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 37 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 37 The function/task '$fsdbTrans_set_label' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_set_label vs call=novas_call_phaseout_fsdbEvent_set_label This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 38 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 38 The function/task '$fsdbTrans_add_attribute' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_add_attribute vs call=novas_call_phaseout_fsdbEvent_add_attribute This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 39 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 39 The function/task '$fsdbTrans_add_tag' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_add_tag vs call=novas_call_phaseout_fsdbEvent_add_tag This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 40 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 40 The function/task '$fsdbTrans_end' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_end vs call=novas_call_phaseout_fsdbEvent_end This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 41 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 41 The function/task '$fsdbTrans_add_relation' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_add_relation vs call=novas_call_phaseout_fsdbEvent_add_relation This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 42 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 42 The function/task '$fsdbTrans_get_error_code' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_get_error_code vs call=novas_call_phaseout_fsdbEvent_get_error_code This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 43 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 43 The function/task '$fsdbTrans_add_stream_attribute' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbTrans_add_stream_attribute vs call=novas_call_phaseout_fsdbTrans_add_stream_attribute This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 44 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 44 The function/task '$fsdbTrans_add_scope_attribute' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbTrans_add_scope_attribute vs call=novas_call_phaseout_fsdbTrans_add_scope_attribute This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 45 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 45 The function/task '$sps_interactive' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_interactive vs call=novas_call_phaseout_sps_interactive This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 46 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 46 The function/task '$sps_test' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_test vs call=novas_call_phaseout_sps_test This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 47 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 47 The function/task '$fsdbDumpClassObject' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpClassObject vs call=novas_call_phaseout_fsdbDumpClassObject This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 48 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 48 The function/task '$fsdbDumpClassObjectByFile' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpClassObjectByFile vs call=novas_call_phaseout_fsdbDumpClassObjectByFile This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 49 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 49 The function/task '$fsdbTrans_add_attribute_expand' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbEvent_add_attribute_expand vs call=novas_call_phaseout_fsdbEvent_add_attribute_expand This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 50 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 50 The function/task '$ridbDump' has mismatching multiple definitions in PLI table(s): call=novas_call_ridbDump vs call=novas_call_phaseout_ridbDump This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 51 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 51 The function/task '$sps_flush_file' has mismatching multiple definitions in PLI table(s): call=novas_call_sps_flush_file vs call=novas_call_phaseout_sps_flush_file This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 52 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 52 The function/task '$fsdbDumpPSL' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpPSL vs call=novas_call_phaseout_fsdbDumpPSL This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 53 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 53 The function/task '$fsdbDisplay' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDisplay vs call=novas_call_phaseout_fsdbDisplay This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 54 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 54 The function/task '$fsdbDumplimit' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumplimit vs call=novas_call_phaseout_fsdbDumplimit This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 55 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 55 The function/task '$fsdbDumpMem' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMem vs call=novas_call_phaseout_fsdbDumpMem This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 56 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 56 The function/task '$fsdbDumpMemNow' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMemNow vs call=novas_call_phaseout_fsdbDumpMemNow This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 57 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 57 The function/task '$fsdbDumpMemInScope' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMemInScope vs call=novas_call_phaseout_fsdbDumpMemInScope This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 58 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 58 The function/task '$fsdbDumpMDANow' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMDANow vs call=novas_call_phaseout_fsdbDumpMDANow This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 59 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 59 The function/task '$fsdbDumpMDAOnChange' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMDAOnChange vs call=novas_call_phaseout_fsdbDumpMDAOnChange This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 60 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 60 The function/task '$fsdbDumpMDAInScope' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMDAInScope vs call=novas_call_phaseout_fsdbDumpMDAInScope This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 61 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 61 The function/task '$fsdbDumpMemInFile' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpMemInFile vs call=novas_call_phaseout_fsdbDumpMemInFile This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 62 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 62 The function/task '$fsdbDumpPSLon' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpPSLon vs call=novas_call_phaseout_fsdbDumpPSLon This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 63 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 63 The function/task '$fsdbDumpPSLoff' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpPSLoff vs call=novas_call_phaseout_fsdbDumpPSLoff This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 64 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 64 The function/task '$fsdbDumpSVAon' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpSVAon vs call=novas_call_phaseout_fsdbDumpSVAon This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 65 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 65 The function/task '$fsdbDumpSVAoff' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpSVAoff vs call=novas_call_phaseout_fsdbDumpSVAoff This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 66 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 66 The function/task '$fsdbDumpStrength' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpStrength vs call=novas_call_phaseout_fsdbDumpStrength This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 67 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 67 The function/task '$fsdbDumpSingle' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpSingle vs call=novas_call_phaseout_fsdbDumpSingle This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 68 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 68 The function/task '$fsdbDumpIO' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpIO vs call=novas_call_phaseout_fsdbDumpIO This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 69 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 69 The function/task '$fsdbDumpPattern' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbDumpPattern vs call=novas_call_phaseout_fsdbDumpPattern This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 70 Warning-[UFTMD] User function/task multiply defined /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/verdi.tab, 70 The function/task '$fsdbSubstituteHier' has mismatching multiple definitions in PLI table(s): call=novas_call_fsdbSubstituteHier vs call=novas_call_phaseout_fsdbSubstituteHier This definition is ignored. The previous definition is in: /home/tools/synopsys/verdi/2023.12SP1/share/PLI/VCS/LINUX64/novas.tab, 71 Parsing design file 'design.sv' Parsing design file 'tb.sv' Error-[SFCOR] Source file cannot be opened Source file " " cannot be opened for reading due to 'No such file or directory'. Please fix above issue and compile again. 69 warnings 1 error CPU time: .614 seconds to compile ./generate_fsdb.sh: line 15: -top: command not found ./generate_fsdb.sh: line 16: -o: command not found ./generate_fsdb.sh: line 19: ./simv_fsdb: No such file or directory 报错了
最新发布
06-12
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值