UVALive 6439 Pasti Pas!

本文介绍了一种利用字符串哈希的方法来寻找最长回文子串的算法实现过程。通过将字符串两端的子串进行哈希并比较其值,可以快速判断回文特性,特别适用于长字符串的处理。

点击打开题目链接

字符串哈希。

应该算第一次接触这种方法吧。

在长串的头和尾搜索,把当前位置构成的字串哈希成一个值。判断头尾这个值是否相等。如果相等,那么最后的回文字串的长度一定要+2.最后判断奇数情况时,加上最中间的一个。


#include <stdio.h>
#include <string.h>
#define N 50005
#define SEED 31
char s[N];
int has[N];
int main()
{
        int t;
        scanf("%d",&t);
        int i=1;
        while(i<=t)
        {
                scanf("%s",s);
                has[0]=1;
                for(int i=1;i<=50000;i++)
                        has[i]=has[i-1]*SEED;
                int l=strlen(s);
                int head=0,tail=0,pos=0,ans=0;
                for(int i=0;i<l/2;i++)
                {
                        head*=SEED;
                        head+=(s[i]-'A'+1);
                        tail+=(s[l-i-1]-'A'+1)*has[i-pos];
                        if(head==tail)
                        {
                                ans+=2;
                                pos=i+1;
                                head=0;
                                tail=0;
                        }

                }
                if(head!=0)
                        ans++;
                if(l%2==1&&head==0)
                        ans++;
                printf("Case #%d: %d\n",i++,ans);
        }
        return 0;
}

根据原作 https://pan.quark.cn/s/459657bcfd45 的源码改编 Classic-ML-Methods-Algo 引言 建立这个项目,是为了梳理和总结传统机器学习(Machine Learning)方法(methods)或者算法(algo),和各位同仁相互学习交流. 现在的深度学习本质上来自于传统的神经网络模型,很大程度上是传统机器学习的延续,同时也在不少时候需要结合传统方法来实现. 任何机器学习方法基本的流程结构都是通用的;使用的评价方法也基本通用;使用的一些数学知识也是通用的. 本文在梳理传统机器学习方法算法的同时也会顺便补充这些流程,数学上的知识以供参考. 机器学习 机器学习是人工智能(Artificial Intelligence)的一个分支,也是实现人工智能最重要的手段.区别于传统的基于规则(rule-based)的算法,机器学习可以从数据中获取知识,从而实现规定的任务[Ian Goodfellow and Yoshua Bengio and Aaron Courville的Deep Learning].这些知识可以分为四种: 总结(summarization) 预测(prediction) 估计(estimation) 假想验证(hypothesis testing) 机器学习主要关心的是预测[Varian在Big Data : New Tricks for Econometrics],预测的可以是连续性的输出变量,分类,聚类或者物品之间的有趣关联. 机器学习分类 根据数据配置(setting,是否有标签,可以是连续的也可以是离散的)和任务目标,我们可以将机器学习方法分为四种: 无监督(unsupervised) 训练数据没有给定...
<think>我们正在分析用户的问题:用户想要理解断言 `1 |=> ($past(signal) != signal)` 的含义及应用。 根据用户提供的引用资料,特别是引用[1]和引用[2],我们已经知道`$past`的基本用法。 首先,我们分解这个断言: - `1`:这是一个永远为真的布尔表达式。在SVA中,`1'b1`表示逻辑高,即真。 - `|=>`:这是一个非重叠蕴含操作符。它表示:如果左边的表达式在当前时钟周期为真,则右边的表达式在下一个时钟周期必须为真。 - `($past(signal) != signal)`:这里使用了`$past`系统函数。默认情况下,`$past(signal)`表示信号`signal`在前一个时钟周期的值。因此,这个表达式检查当前时钟周期的`signal`值不等于前一个时钟周期的`signal`值。 整个断言的意思是: 在任何时候(因为左边是恒真条件),下一个时钟周期的`signal`值必须不等于当前时钟周期的`signal`值。 注意:由于左边是恒真条件,所以这个断言要求每个时钟周期都要检查:当前周期的信号值与前一周期的信号值不相等。 但是,这里有一个关键点:因为左边是`1`(恒真),所以这个断言在每个时钟周期都会检查。然而,`|=>`操作符使得右边的检查发生在下一个时钟周期。因此,我们重新梳理时间线: - 在时钟周期n:左边条件(1)为真,因此要求在时钟周期n+1,右边的表达式必须为真。 - 在时钟周期n+1:右边的表达式是`$past(signal) != signal`。此时: - `$past(signal)` 表示在时钟周期n的`signal`值(因为默认回溯一个周期)。 - `signal` 表示在时钟周期n+1的`signal`值。 所以,这个断言要求:对于任意两个相邻的时钟周期(周期n和周期n+1),信号在周期n+1的值不等于周期n的值。 也就是说,该断言要求信号在每一个时钟跳变沿(从上一个周期到当前周期)都必须改变值(不能保持恒定)。 应用场景: 1. 检查一个信号是否在每个时钟周期都发生变化。例如,在测试某些计数器或者状态机时,我们希望状态在每个时钟周期都改变(比如在特定模式下)。 2. 检测信号是否出现停滞(stuck)。如果信号连续两个周期相同,则断言失败,表明信号可能停滞。 但是,需要注意的是,这个断言在第一个时钟周期就会开始检查。在仿真开始时,由于没有前一个周期的值,`$past(signal)`会返回初始值(可能是x或0)。如果信号在第一个周期和初始值相同,则第一个检查(在第二个时钟周期)就会失败。 另外,引用[1]提到可以使用门控信号,但在这个断言中并没有使用门控。因此,这个断言在每个时钟周期都会检查。 示例: 假设我们有一个信号`data`,我们想要确保它每个周期都变化,我们可以这样写: ```systemverilog property p_data_change; @(posedge clk) 1 |=> ($past(data) != data); endproperty a_data_change: assert property(p_data_change); ``` 然而,这个断言可能过于严格,因为在实际设计中,信号不一定每个周期都变化。因此,通常我们会加上门控条件,只在特定条件下检查。例如,当使能信号有效时,要求数据必须变化: ```systemverilog property p_data_change_en; @(posedge clk) enable |=> ($past(data) != data); endproperty ``` 注意事项: 1. 在仿真开始时,由于没有历史值,`$past`会返回初始值(如x),因此第一个周期的比较可能会失败。为了避免这种情况,可以使用`disable iff`来在复位期间禁用断言。 2. 如果信号是向量(多位),那么`!=`操作会比较所有位。也就是说,只要有一个位不同,就算不同。如果要求所有位都不同,这个断言是满足的;但有时候我们可能希望即使有部分位相同也可以,这里显然不行。 因此,我们可以改进断言,加入复位: ```systemverilog property p_data_change; @(posedge clk) disable iff (reset) 1 |=> ($past(data) != data); endproperty ``` 这样,在复位期间不检查。 另外,引用[3]提到断言中可以使用变量赋值,但在这个例子中我们没有使用变量,所以不需要。 引用[4]中提到了一系列系统函数,但这里没有用到。 总结: 断言`1 |=> ($past(signal) != signal)`要求信号在每个相邻的时钟周期(从当前周期到下一周期)都必须改变值。 相关问题: 1. 如何在复位期间禁用这个断言? 2. 如果信号是向量,如何只检查部分位的变化? 3. 如果希望信号在两个周期内不能相同(但不要求每个周期都变化)该如何写断言? 4. 如果希望信号在连续三个周期内都变化,如何写断言? 5. 这个断言在仿真开始时(第一个周期)会有什么问题?如何避免? </think>### Verilog断言分析:`1 |=> ($past(signal) != signal)` #### 1. **断言结构解析** | 组件 | 说明 | |------------------|----------------------------------------------------------------------| | `1` | 恒真条件(始终为真),表示断言无条件触发[^4] | | `|=>` | **非重叠蕴含运算符**:左边条件成立时,检查**下一个时钟周期**的右边条件 | | `$past(signal)` | 获取`signal`在**上一个时钟周期**的值(默认回溯深度=1)[^1][^2] | | `!= signal` | 比较历史值与当前值是否不相等 | #### 2. **完整语义** 该断言表示: **在每个时钟上升沿,必须满足:下一个时钟周期的`signal`值不等于当前周期的值** 数学表达: $$\forall t \in \text{时钟沿}, \quad \text{signal}(t+1) \neq \text{signal}(t)$$ #### 3. **实际应用场景** 1. **信号变化监控** 确保信号不会在两个连续周期保持恒定值: ```systemverilog // 检查时钟使能信号必须每周期变化 property clk_en_changes; @(posedge clk) 1 |=> ($past(clk_en) != clk_en); endproperty ``` 2. **状态机跳转验证** 防止状态机停滞在同一状态: ```systemverilog // 确保状态机不会连续两个周期停在IDLE状态 property fsm_progress; @(posedge clk) (state == IDLE) |-> 1 |=> ($past(state) != state); endproperty ``` 3. **总线活动检测** 验证总线在使能后持续活动: ```systemverilog // 当bus_en有效时,地址总线必须每周期变化 property addr_bus_active; @(posedge clk) bus_en |-> 1 |=> ($past(addr) != addr); endproperty ``` #### 4. **关键注意事项** 1. **初始周期问题** 仿真开始时`$past(signal)`返回`'bx`(未知值),可能导致误报。 **解决方案**:添加复位保护 ```systemverilog property safe_check; @(posedge clk) disable iff (reset) 1 |=> ($past(signal) != signal); endproperty ``` 2. **向量信号处理** 当`signal`是多比特向量时,`!=`执行**全比特比较**。如需部分比特检查: ```systemverilog // 仅检查低4位变化 1 |=> ($past(signal[3:0]) != signal[3:0]); ``` 3. **门控条件扩展** 避免无条件检查的资源浪费,添加触发条件: ```systemverilog // 仅在valid=1时检查 valid |-> 1 |=> ($past(data) != data); ``` #### 5. **典型错误案例** ```systemverilog // 错误示例:使用重叠蕴含(|->) 1 |-> ($past(sig) != sig); // 错误!会在同一周期比较 ``` - **错误原因**:`|->`在同一时钟周期检查右边条件,而`$past`需要跨周期比较 - **修复方案**:必须使用`|=>`确保时序对齐 ### 相关工作 1. 如何修改断言使信号允许最多连续3个周期相同? 2. `$past(signal, 2)`在此断言中会产生什么效果?[^2] 3. 该断言在异步复位场景下如何正确处理? 4. 当signal出现X或Z值时断言会如何行为?[^4] 5. 如何用`$changed()`系统函数实现等效功能?[^4] [^1]: $past构造可以由一个门控信号控制...[^1] [^2]: $past是SVA提供的一个系统任务...[^2] [^4]: $stable(boolean expression)...[^4]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值