BFM

BFM (Bussiness Flow Management) 指的是商业流程管理,提供工作流引擎以及对应的流程模板、流程表单定制工具,实现跨子系统的操作界面定制和处理流程定制功能。以此来满足在实际运营场景中,不同运营商以及同一运营商不同部门之间不同的业务处理流程定制。


#!/usr/bin/ksh
typeset web_xml="${HOME}/fuqiang/web.xml"
grep "classpath\*:provision/spring/\*/\*/\*.service.xml" ${web_xml}>/dev/null
if [ $? -eq 0 ];
then
sed -i '/classpath\*:provision\/spring\/\*\/\*\/\*.service.xml/ a <!\-\-jason\-\->\n\t\t\tfuqiangclasspath*:pmp/spring/*.service.xml' ${web_xml}
sed -i '/<!\-\-jason\-\->/d' ${web_xml}
echo "web.xml in is okokokokokok"
else
echo "web.xml in is worng"
echo "grep "classpath\*:provision/spring/\*/\*/\*.service.xml" ${web_xml}"
fi
### UVM BFM 的实现与使用 UVM (Universal Verification Methodology) 是一种基于 SystemVerilog 的验证方法学,广泛应用于芯片设计的功能验证。BFM (Bus Functional Model) 则是一种用于模拟总线协议行为的模型,在功能验证中起到桥梁作用。以下是关于 UVM BFM 实现和使用的详细介绍。 #### 1. UVM BFM 的定义 在 UVM 中,BFM 被用来抽象化硬件接口的行为[^2]。它通常被封装在一个独立的任务或类中,通过驱动信号来完成特定的操作。例如,对于 AXI 或 APB 总线,BFM 可以负责发送读写请求并接收响应数据。 #### 2. BFM 的分类 BFM 主要分为两种类型: - **主动型 BFM**: 这种类型的 BFM 自己发起事务操作,比如向 DUT 发送命令或者查询状态。 - **被动型 BFM**: 此类 BFM 不会主动发起任何动作,而是等待外部事件触发后再执行相应的逻辑处理[^3]。 #### 3. 使用场景 当采用 VIP (Verification IP) 验证复杂协议时,可能需要自定义某些特殊条件下的交互过程;此时可以通过编写专属的 BFM 来满足需求。另外,在测试平台搭建初期阶段也可以利用简单的 BFMs 快速构建起基本框架以便于后续开发工作顺利开展[^4]。 #### 4. 编码实践 下面展示了一个典型的 UVM-based BFM 结构及其主要组成部分: ```verilog class my_bfm extends uvm_component; `uvm_component_utils(my_bfm) virtual interface my_if vif; function new(string name, uvm_component parent); super.new(name,parent); endfunction :new task reset(); @(posedge vif.rst_n); $display("Resetting the system..."); endtask :reset endclass :my_bfm ``` 此代码片段展示了如何创建一个继承自`uvm_component`的基础类,并包含了重置系统的简单任务作为例子说明[^5]。 #### 5. 整合到测试环境中 为了使 BFM 成功融入整个验证流程当中,还需要将其实例化并与虚拟接口绑定在一起。这一步骤通常是在 top-level testbench 文件里完成: ```verilog initial begin uvm_config_db#(virtual my_if)::set(null,"*","vif",my_dut_inst.my_intf); end ``` 这里设置了全局配置数据库中的条目,使得所有的组件都能够访问指定的实际物理接口对象[^6]。 --- ###
评论
成就一亿技术人!
拼手气红包6.0元
还能输入1000个字符
 
红包 添加红包
表情包 插入表情
 条评论被折叠 查看
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值