关于verdi

本文详细介绍了Verdi与LSF在Verilog仿真中的使用技巧,包括如何通过不同的命令进行作业提交、查看及管理,以及在Verdi中如何处理SystemVerilog与Verilog文件的识别。此外,还提供了关于VCS命令、coverage合并与查看的实用指导。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

bsub -q qverdi 
     -Ip 
               verdi -nologo -syntaxerrormax 100000 +systemverilog+.sv +systermverilog+.v
+systermverilog+.svi +systermverilog+.svp +systermverilog+.svh +define+DUMP+define+DEBUSSY -ssv -ssy -f <filelist> &

 Submitting a job to a specific queue or a specific host.

# bsub –q <queue_name> my_job

# bsub –m <hostname> my_job

# bsub –Ip : To submit an interactive job through LS

 #bjobs –a  : View all jobs, you can display information about jobs that are both running and those recently finished (PEND, RUN, USUSP, PSUSP, SSUSP, DONE, and EXIT statuses). 

# bkill <job_id> Kill a job.

# bkill –r <job_id>

Forcing removal of a job from LSF. Removes a job from the system without waiting for the job to terminate in the operating system. 

https://www.cnblogs.com/-9-8/p/6278165.html

现在很多verilog 的filelist 文件中用如下形式来指定文件所在的目录就行了,而不必指定具体文件

./testbench/ppc460_PLB4/p464s_test_top_tb.v  
../hdl/p460s_defines.v  
+incdir+$SYNOPSYS/dw/sim_ver  
+incdir+./vera/ver_shell/ppc460_PLB4  
+incdir+./testbench/ppc460_PLB4  
+incdir+./testbench/IBM_models/DCR3X  
-y ../hdl  
-y ../hdl/CPU  
-y ../hdl/SYNC4  
-y ../hdl/behav_models/custom  
-y ../hdl/behav_models/rams  
-y $SYNOPSYS/dw/sim_ver  
-y ./testbench/ppc460_PLB4  
-y ./vera/ver_shell/ppc460_PLB4  
-y ./testbench/IBM_models/DCR3X  
+libext+.v  
+define+VCS_DUMPON=1  

上面通过-y 指定library目录, +libext+.v指定library后缀名。 有时候还用-v 来指定library文件。但是这样直接被verdi吃进去以后不能显示hierarchy和文件。verdi提供了解决的办法:   -ssy  (用来取消-y指定的library为library cell)     -ssv (用来取消-v 指定的library为library cell)

verdi –f run.f +verilog2001ext+.v2k+ +systemverilogext+.sv+.SV+
  • Files with extension name (.sv or .SV) will automatically be recognized as SystemVerilog.
  • Files with extension name (.v2k) will automatically be recognized as Verilog-2001.
  • Files with extension name (.v) will automatically be recognized as Verilog-95

一、記錄一些常用的VCS Command

https://blog.youkuaiyun.com/immeatea_aun/article/details/80961258

https://blog.youkuaiyun.com/gsjthxy/article/details/88429983

https://blog.youkuaiyun.com/limanjihe/article/details/52430286

merge coverage:urg -full64 -metric line+tgl+cond+fsm+assert+branch -warn none -dbname <merge_coverage_name>.vdb -dir simv.vdb -elfile <el_filename.el>   注意:其中-dir之後的第一個vdb數據會被認為是base data,如果產生其他vdb的RTL與base不同,將不能被Merge進來
    查看coverage:verdi -cov -covdir <merge_coverage_name>.vdb &
    如果是用urg,則使用如下command:urg -dir simv.vdb &
    VCS收Coverage的command:-cm line+tgl+cond+fsm+assert+branch -cm_line contassign -cm_cond full+tf_foranywidth
    如果修改了covergroup的name或者是採樣信號的定義,可以加如下option進行coverage merge:-flex_merge drop或者        -flex_merge reference或-flex_merge union
    如果是看網頁版,則使用如下command打開: firefox  ${sim}/urgReport/dashboard.html
二、注意事項

如果Hierarchy或者covergroup有修改,在Merge VDB的時候需要刪除之前的VDB數據。
    如果在例化DUT的時候需要傳遞參數進去,導致生成的電路不一致,需要分別Merge,應為不同Hierarchy的module的VDB不能夠Merge在一起。
 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值