关于verdi

本文详细介绍了Verdi与LSF在Verilog仿真中的使用技巧,包括如何通过不同的命令进行作业提交、查看及管理,以及在Verdi中如何处理SystemVerilog与Verilog文件的识别。此外,还提供了关于VCS命令、coverage合并与查看的实用指导。
bsub -q qverdi 
     -Ip 
               verdi -nologo -syntaxerrormax 100000 +systemverilog+.sv +systermverilog+.v
+systermverilog+.svi +systermverilog+.svp +systermverilog+.svh +define+DUMP+define+DEBUSSY -ssv -ssy -f <filelist> &

 Submitting a job to a specific queue or a specific host.

# bsub –q <queue_name> my_job

# bsub –m <hostname> my_job

# bsub –Ip : To submit an interactive job through LS

 #bjobs –a  : View all jobs, you can display information about jobs that are both running and those recently finished (PEND, RUN, USUSP, PSUSP, SSUSP, DONE, and EXIT statuses). 

# bkill <job_id> Kill a job.

# bkill –r <job_id>

Forcing removal of a job from LSF. Removes a job from the system without waiting for the job to terminate in the operating system. 

Verdi工具是一款广泛应用于数字电路设计与验证中的调试工具,其强大的波形查看和调试功能使其成为许多工程师的首选工具。以下是关于Verdi工具的使用方法和相关教程的详细说明。 ### 启动Verdi进行图形界面仿真 在使用Verdi进行动态仿真时,需要通过VCS编译器配合特定的选项来启动。首先,在VCS的编译选项中添加`-kdb`参数以生成调试信息。然后,在执行仿真文件(`simv`)时加入`-gui=verdi`或`-verdi`选项,即可启动Verdi的图形界面。在图形界面中,可以方便地添加断点、观察信号变量的变化情况,并进行单步调试等操作[^1]。 ### 生成FSDB波形文件 Verdi支持通过FSDB(Fast Signal Dump Binary)文件来观察波形。在仿真过程中,需要通过系统调用`$fsdbDumpfile`和`$fsdbDumpvars`生成FSDB文件。这两个系统调用并非标准Verilog语法,而是Verdi通过PLI(Programming Language Interface)实现的功能。因此,在使用VCS编译时需要确保相关库文件的路径正确,以便编译器能够找到这些库并正确生成FSDB文件[^2]。 ### Verdi的默认配置修改 Verdi的界面和功能可以根据用户需求进行定制。例如,如果希望在原理图中显示本地网络名称(Local Net Name),可以通过菜单路径`Tools -> Preferences... -> Schematics -> Display Options`,勾选`Local Net Name`并应用。此设置在退出Verdi后仍然有效,下次启动时会保留这些默认值。此外,还可以通过修改`novas.rc`文件来实现更复杂的配置调整,例如自定义快捷键、设置默认窗口布局等[^3]。 ### Verdi的安装与环境配置 Verdi通常与VCS一起安装,因此在安装过程中需要确保VCS和Verdi的兼容性。例如,在Ubuntu虚拟机上安装VCS和Verdi时,需要按照官方文档或教程逐步配置环境变量,并确保所有依赖库正确安装。安装过程可能较为复杂,可能需要多次尝试和调试才能完成。一个完整的安装教程可以参考相关博客或官方文档[^4]。 ### 示例代码:生成FSDB文件 以下是一个简单的Verilog代码示例,展示如何在仿真中生成FSDB文件: ```verilog module testbench; reg clk; reg rst_n; wire [7:0] data_out; // 被测试模块实例化 dut u_dut ( .clk(clk), .rst_n(rst_n), .data_out(data_out) ); // 生成FSDB文件 initial begin $fsdbDumpfile("waveform.fsdb"); $fsdbDumpvars(0, testbench); end // 生成时钟信号 always #5 clk = ~clk; // 初始复位 initial begin clk = 0; rst_n = 0; #20 rst_n = 1; #100 $finish; end endmodule ``` 在上述代码中,`$fsdbDumpfile`用于指定生成的FSDB文件名,`$fsdbDumpvars`用于指定需要记录的信号范围。仿真完成后,可以通过Verdi打开生成的`waveform.fsdb`文件进行波形查看和调试。 --- ###
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值