[FPGA Ethernet]基于Verilog源码和1G/2.5G Ethernet PCS/PMA or SGMII实现2.5G UDP数据通信

目录

一、概述

二、硬件平台

三、软件架构

四、IP核配置方法

五、试验效果图


一、概述

本文主要描述使用1G/2.5G Ethernet PCS/PMA or SGMII的IP核和协议栈实现与电脑端2.5Gbps数据通信的方法。

二、硬件平台

使用黑金AX7A200开发板,购买2.5G SFP电口模块,插入开发板SFP2插槽内,使用网线连接电脑2.5G网口,或者购买USB转2.5G网卡,注意使用六类或者七类网线连接。

三、软件架构

软件工程如下图,使用vivado2017.4进行开发,仅使用1G/2.5G Ethernet PCS/PMA or SGMII的IP核完成高速数据交换。

通过阅读《ug482_7Series_GTP_Transceivers.pdf》和开发板使用手册原理图等文件,可以注意XDC中使用黑金7A200开发板关于SFP2引脚使用的X0Y5通道,XDC定义如下:

########## GTP CONSTRAINTS FOR the AX7A200 BOARD ##########

set_property PACKAGE_PIN F6 [get_ports gtrefclk1_p]
set_property PACKAGE_PIN E6 [get_ports gtrefclk1_n]

########## --------- SFP2 ------------##########
set_property PACKAGE_PIN J14 [get_ports sfp1_los]
set_property PACKAGE_PIN H14 [get_ports sfp1_tx_disable]

set_property IOSTANDARD LVCMOS33 [get_ports sfp1_los]
set_property IOSTANDARD LVCMOS33 [get_ports sfp1_tx_disable]

set_property LOC GTPE2_CHANNEL_X0Y5 [get_cells */*/*/*/transceiver_inst/gtwizard_inst/*/gtwizard_i/gt0_GTWIZARD_i/gtpe2_i]


create_clock -period 8.000 -name gtrefclk -add [get_ports gtrefclk1_p]

四、IP核配置方法

五、试验效果图

FPGA编写测试程序,测试FPGA发送至电脑端网速,效果如下图。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值