T触发器是一种数字电路中的存储单元,它具有在时钟脉冲控制下根据输入信号T的取值来保持或翻转状态的功能。具体来说,当输入信号T为0时,T触发器保持当前状态不变;当输入信号T为1时,T触发器的状态会发生翻转。
T触发器真值表:
一、仿真代码
module T(q,t,cp);
input t,cp;
output q;
reg q=0;
always @(posedge cp)
begin
if(t)
begin q<=~q;end
end
endmodule
二、电路符号
三、仿真代码
`timescale 1ns/1ns
module T_tb;
reg cp;
reg t;
wire q;
T u(
.t(t),
.cp(cp),
.q(q)
);
initial begin
cp=0;
forever #20 cp=~cp;
end
initial begin
t = 0;
#100;
t=1;
#500;
t=0;
#100;
$finish;
end
initial begin
$monitor("Time=%t,t=%b,q=%b",$time,t,q);
end
endmodule
四、仿真结果
观察波形可知,当t为“1”时,在时钟脉冲的作用下q的输出端为前一状态的相反值;当t为“0”时,观察的q的输出保持不变。